Publications

2018

  • A Compact High-Brightness Accelerator-based EUV Source for Actinic Mask Inspection Garvey T, Ekinci Y, Rivkin L, Streun A, Wrulich A
    OSA Technical Digest , (2018).
    DOI: 10.1364/EUVXRAY.2018.ET3B.5
  • A comparative study of EUV absorber materials using lensless actinic imaging of EUV photomasks Ekinci Y, Kazazis D, Rajendran R, Helfenstein P, Mochi I, Fernandez S, Yoshitake S
    Extreme Ultraviolet (EUV) Lithography IX -, - (2018).
    DOI: 10.1117/12.2297381
  • A high-brightness accelerator-based EUV source for metrology applications Rivkin L, Wrulich A, Streun A, Garvey T, Ekinci Y
    Photomask Technology 2018 , (2018).
    DOI: 10.1117/12.2501930
  • Absorption coefficient of metal-containing photoresists in the extreme ultraviolet Ekinci Y, Brouwer Albert M, Castellanos S, Wu L, Haitjema J, Fallica R
    Journal of Micro/Nanolithography, MEMS, and MOEMS 17, 1 (2018).
    DOI: 10.1117/1.JMM.17.2.023505
  • All-dielectric metasurface-based roll-angle sensor Chen X, Tao Z, Chen C, Wang C, Wang L, Jiang H, Fan D, Ekinci Y, Liu S
    Sensors and Actuators A: Physical 279, 509 (2018).
    DOI: 10.1016/j.sna.2018.06.058
  • Beam drift and partial probe coherence effects in EUV reflective-mode coherent diffractive imaging Helfenstein Patrick, Rajeev Rajendran, Mochi Iacopo, Kleibert Armin, Vaz C A F, Ekinci Yasin
    OPTICS EXPRESS 26, 12242-12256 (2018).
    DOI: 10.1364/OE.26.012242
  • Benchmarking surface selective vacuum ultraviolet and thermal postprocessing of thermoplastics for ultrasmooth 3-D-printed micro-optics Kirchner R, Schift H, Chidambaram N
    OPTICAL ENGINEERING 57, 1 (2018).
    DOI: 10.1117/1.OE.57.4.041403
  • Changes in the near edge x-ray absorption fine structure of hybrid organic?inorganic resists upon exposure Fallica R, Watts B, Rösner B, Della Giustina G, Brigo L, Brusatin G, Ekinci Y
    NANOTECHNOLOGY 29, 36LT03 (2018).
    DOI: 10.1088/1361-6528/aaccd4
  • Chemically-amplified EUV resists approaching 11 nm half-pitch Tasdemir Z, Vockenhuber M, Mochi I, Garrido Olvera K, Meeuwissen M, Yildirim O, Hoefnagels R, Rispens G, Custers R, Ekinci Y
    Extreme Ultraviolet (EUV) Lithography IX 10583, 105831W (2018).
    DOI: 10.1117/12.2299643
  • Dual-tone Application of a Tin-Oxo Cage Photoresist Under E-beam and EUV Exposure Zhang Yu, Haitjema Jarich, Baljozovic Milos, Vockenhuber Michaela, Kazazis Dimitrios, Jung Thomas A, Ekinci Yasin, Brouwer Albert M
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 31, 249-255 (2018).
  • Evaluation of EUV resists for 5nm technology node and beyond Wang X, Ekinci Y, Hoefnagels R, Rispens G, Custers R, Meeuwissen M, van LentProtasova L, Mochi I, Tasdemir Z
    International Conference on Extreme Ultraviolet Lithography 2018 -, - (2018).
    DOI: 10.1117/12.2502688
  • Experimental evaluation of the impact of EUV pellicles on reticle imaging Pollentier I, Mariano Juste M, Timmermans M, Gallagher E, Ekinci Y, Kazazis D, Fernandez S, Helfenstein P, Rajeev R, Mochi I
    Photomask Technology 2018 , (2018).
    DOI: 10.1117/12.2502480
  • First-row transitional-metal oxalate resists for EUV Wilklow-Marnell M, Moglia D, Steimle B
    Journal of Micro/Nanolithography, MEMS, and MOEMS 17, 1 (2018).
    DOI: 10.1117/1.JMM.17.4.043507
  • Fourier ptychography for lithography high NA systems Ekinci Y, Evanschitzky P, Erdmann A, Dejkameh A
    Computational Optics II -, - (2018).
    DOI: 10.1117/12.2311332
  • High-resolution EUV lithography using a multi-trigger resist Ekinci Y, Theis W, Roth J, Dawson G, McClelland A, Kazazis D, Popescu C, Robinson Alex PG
    Extreme Ultraviolet (EUV) Lithography IX -, - (2018).
    DOI: 10.1117/12.2297406
  • Improving the resolution and throughput of achromatic Talbot lithography Kazazis D, Tseng L, Ekinci Y
    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 36, 06J501 (2018).
    DOI: 10.1116/1.5048506
  • Multi-trigger resist for electron beam and extreme ultraviolet lithography Roth J, Dawson G, McClelland A, Popescu C, Robinson Alex P, Theis W, Ekinci Y, Kazazis D
    34th European Mask and Lithography Conference -, - (2018).
    DOI: 10.1117/12.2316628
  • Nano-confinement of block copolymers in high accuracy topographical guiding patterns: modelling the emergence of defectivity due to incommensurability Gottlieb S, Kazazis D, Mochi I, Evangelio L, Fernández-Regúlez M, Ekinci Y, Perez-Murano F
    SOFT MATTER 14, 6799 (2018).
    DOI: 10.1039/C8SM01045E
  • New resist and underlayer approaches toward EUV lithography Ekinci Y, Kazizis D, Laukkanen M, Karaste K, Dang L, Rantala J, Gädda T
    International Conference on Extreme Ultraviolet Lithography 2018 , (2018).
    DOI: 10.1117/12.2503107
  • Phase defect inspection on EUV masks using RESCAN Li-Teng T, Ekinci Y, Kazazis D, Helfenstein P, Mochi I, Fernandez S, Rajeev R
    International Conference on Extreme Ultraviolet Lithography 2018 -, - (2018).
    DOI: 10.1117/12.2502726
  • Photoacid generator?polymer interaction on the quantum yield of chemically amplified resists for extreme ultraviolet lithography Fallica R, Ekinci Y
    JOURNAL OF MATERIALS CHEMISTRY C 6, 7267 (2018).
    DOI: 10.1039/C8TC01446A
  • Pneumatically Controlled Nanofluidic Devices for Contact-Free Trapping and Manipulation of Nanoparticles Gerspach M, Mojarad N, Sharma D, Ekinci Y, Pfohl T
    PARTICLE & PARTICLE SYSTEMS CHARACTERIZATION -, 1800161 (2018).
    DOI: 10.1002/ppsc.201800161
  • Progress in multi-trigger resists for EUV lithography (Conference Presentation) Vandenberghe G, De Simone D, Theis W, Ekinci Y, Kazazis D, Vesters Y, Roth J, Dawson G, McClelland A, Popescu C, Robinson Alex PG
    International Conference on Extreme Ultraviolet Lithography 2018 , (2018).
    DOI: 10.1117/12.2501811
  • Studying resist performance for contact holes printing using EUV interference lithography Ekinci Y, Mochi I, Vockenhuber M, Tasdemir Z, Kazazis D, Tseng L, Wang X
    International Conference on Extreme Ultraviolet Lithography 2018 , (2018).
    DOI: 10.1117/12.2501949
  • Through-pellicle inspection of EUV masks Yasin E, Kazazis D, Fernandez S, Rajeev R, Helfenstein P, Mochi I
    Extreme Ultraviolet (EUV) Lithography IX -, - (2018).
    DOI: 10.1117/12.2297436
  • Ti, Zr, and Hf-based molecular hybrid materials as EUV photoresists Jung T, Ekinci Y, Vockenhuber M, Kazazis D, Portale G, Baljozovic M, Wu L, Castellanos Ortega S
    Extreme Ultraviolet (EUV) Lithography IX -, - (2018).
    DOI: 10.1117/12.2297167
  • Ultra-sensitive EUV resists based on acid-catalyzed polymer backbone breaking Kazazis D, Ekinci Y, Manouras T, Koufakis E, Argitis P, Vamvakaki M
    Extreme Ultraviolet (EUV) Lithography IX -, - (2018).
    DOI: 10.1117/12.2299853

2017

  • "Non-destructive" dimensional metrology of EUV resist gratings (Conference Presentation) Kline RJoseph, Sunday Daniel F, Windover D, Kulmala Tero S, Ekinci Y
    SPIE ADVANCED LITHOGRAPHY , (2017).
    DOI: 10.1117/12.2257690
  • A two-step method for fast and reliable EUV mask metrology Helfenstein P, Mochi I, Rajendran R, Yoshitake S, Ekinci Y
    SPIE ADVANCED LITHOGRAPHY , (2017).
    DOI: 10.1117/12.2259961
  • Absorption coefficient and exposure kinetics of photoresists at EUV Fallica R, Haitjema J, Wu L, Castellanos S, Brouwer F, Ekinci Y
    SPIE ADVANCED LITHOGRAPHY , (2017).
    DOI: 10.1117/12.2257240
  • Actinic inspection of EUV reticles with arbitrary pattern design Fernandez S, Rajendran R, Yoshitake S, Kazazis D, Ekinci Y, Helfenstein P, Mochi I
    International Conference on Extreme Ultraviolet Lithography 2017 , (2017).
    DOI: 10.1117/12.2280528
  • Advanced development techniques for metal-based EUV resists Hotalen J, Murphy M, Earley W, Vockenhuber M, Ekinci Y, Freedman Daniel A, Brainard Robert L
    SPIE ADVANCED LITHOGRAPHY , (2017).
    DOI: 10.1117/12.2258126
  • CHIMIA News
    CHIMIA International Journal for Chemistry 71, 1 (2017).
    DOI: 10.2533/chimia.2017.1
  • Catalyst support effects on hydrogen spillover Karim W, Spreafico C, Kleibert A, Gobrecht J, VandeVondele J, Ekinci Y, van Bokhoven JA
    NATURE 541, 68 (2017).
    DOI: 10.1038/nature20782
  • Chemical changes in hybrid photoresists before and after exposure by in situ NEXAFS analysis Fallica R, Watts B, Della Giustina G, Brigo L, Brusatin G, Ekinci Y
    SPIE ADVANCED LITHOGRAPHY , (2017).
    DOI: 10.1117/12.2258215
  • Coherent diffractive imaging methods for semiconductor manufacturing Helfenstein P, Mochi I, Rajeev R, Fernandez S, Ekinci Y
    Advanced Optical Technologies 6, (2017).
    DOI: 10.1515/aot-2017-0052
  • Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography Tasdemir Z, Mochi I, Olvera K, Meeuwissen M, Rispens G, Hoefnagels R, Custers R, Yildirim O, Fallica R, Vockenhuber M, Ekinci Y
    International Conference on Extreme Ultraviolet Lithography 2017 10450, 104501T (2017).
    DOI: 10.1117/12.2280541
  • Extreme ultraviolet patterning of tin-oxo cages Haitjema J, Zhang Y, Vockenhuber M, Kazazis D, Ekinci Y, Brouwer Albert M
    SPIE ADVANCED LITHOGRAPHY , (2017).
    DOI: 10.1117/12.2257911
  • Extreme ultraviolet patterning of tin-oxo cages Brouwer Albert M, Ekinci Y, Kazazis D, Vockenhuber M, Zhang Y, Haitjema J
    Journal of Micro/Nanolithography, MEMS, and MOEMS 16, 1 (2017).
    DOI: 10.1117/1.JMM.16.3.033510
  • High-resolution grayscale patterning using extreme ultraviolet interference lithography Fallica R, Kirchner R, Schift H, Ekinci Y
    MICROELECTRONIC ENGINEERING 177, S0167931717300072 (2017).
    DOI: 10.1016/j.mee.2017.01.007
  • Improvements in resist performance towards EUV HVM Yildirim O, Buitrago E, Hoefnagels R, Meeuwissen M, Wuister S, Rispens G, van Oosten A, Derks P, Finders J, Vockenhuber M, Ekinci Y
    SPIE ADVANCED LITHOGRAPHY , (2017).
    DOI: 10.1117/12.2257415
  • Lithographic performance of ZEP520A and mr-PosEBR resists exposed by electron beam and extreme ultraviolet lithography Fallica R, Kazazis D, Kirchner R, Voigt A, Mochi I, Schift H, Ekinci Y
    Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena 35, 061603 (2017).
    DOI: 10.1116/1.5003476
  • Multi-trigger resist for electron beam lithography Ekinci Y, Roth J, Dawson G, McClelland A, Popescu C, Robinson Alex P, Theis W, Kazazis D
    33rd European Mask and Lithography Conference , (2017).
    DOI: 10.1117/12.2279767
  • Nanofluidic lab-on-a-chip trapping devices for screening electrostatics in concentration gradients Gerspach M, Mojarad N, Sharma D, Pfohl T, Ekinci Y
    MICROELECTRONIC ENGINEERING 175, 17 (2017).
    DOI: 10.1016/j.mee.2016.12.017
  • Photosensitized Chemically Amplified Resist (PSCAR) 2.0 for high-throughput and high-resolution EUV lithography: dual photosensitization of acid generation and quencher decomposition by flood exposure Nagahara S, Carcasi M, Shiraishi G, Nakagawa H, Dei S, Shiozawa T, Nafus K, De Simone D, Vandenberghe G, Stock H, Küchler B, Hori M, Naruoka T, Nagai T, Minekawa Y, Iseki T, Kondo Y, Yoshihara K, Kamei Y, Tomono M, Shimada R, Biesemans S, Nakashima H, Foubert P, Buitrago E, Vockenhuber M, Ekinci Y, Oshima A, Tagawa S
    SPIE ADVANCED LITHOGRAPHY , (2017).
    DOI: 10.1117/12.2258217
  • RESCAN: an actinic lensless microscope for defect inspection of EUV reticles Mochi I, Helfenstein P, Mohacsi I, Rajendran R, Yoshitake S, Ekinci Y
    SPIE ADVANCED LITHOGRAPHY , (2017).
    DOI: 10.1117/12.2258086
  • RESCAN: an actinic lensless microscope for defect inspection of EUV reticles Mochi I, Helfenstein P, Mohacsi I, Rajeev R, Kazazis D, Yoshitake S, Ekinci Y
    Journal of Micro/Nanolithography, MEMS, and MOEMS 16, 041003 (2017).
    DOI: 10.1117/1.JMM.16.4.041003
  • Reactivity of metal-oxalate EUV resists as a function of the central metal Grzeskowiak S, Narasimhan A, Murphy M, Napolitano L, Freedman Daniel A, Brainard Robert L, Denbeaux G
    SPIE ADVANCED LITHOGRAPHY , (2017).
    DOI: 10.1117/12.2258151
  • Reversible Light-Switching of Enzymatic Activity on Orthogonally Functionalized Polymer Brushes Dubner Matthias, Cadarso Victor J, Gevrek Tugce N, Sanyal Amitav, Spencer Nicholas D, Padeste Celestino
    ACS APPLIED MATERIALS & INTERFACES 9, 9245-9249 (2017).
    DOI: 10.1021/acsami.7b01154
  • Sensitivity enhancement of the high-resolution xMT multi-trigger resist for EUV lithography Popescu C, Frommhold A, McClelland A, Roth J, Ekinci Y, Robinson Alex PG
    SPIE ADVANCED LITHOGRAPHY , (2017).
    DOI: 10.1117/12.2258098
  • Single positively charged particle trapping in nanofluidic systems Sharma D, Gerspach M, Pfohl T, Lim Roderick YH, Ekinci Y
    MICROELECTRONIC ENGINEERING 175, 43 (2017).
    DOI: 10.1016/j.mee.2017.01.001
  • Soft electrostatic trapping in nanofluidics Gerspach Michael A, Mojarad N, Sharma D, Pfohl T, Ekinci Y
    Microsystems & Nanoengineering 3, 17051 (2017).
    DOI: 10.1038/micronano.2017.51
  • State-of-the-art EUV materials and processes for the 7nm node and beyond Buitrago E, Meeuwissen M, Yildirim O, Custers R, Hoefnagels R, Rispens G, Vockenhuber M, Mochi I, Fallica R, Tasdemir Z, Ekinci Y
    SPIE ADVANCED LITHOGRAPHY 10143, 101430T-1 (2017).
    DOI: 10.1117/12.2260153
  • Strain and thermal conductivity in ultrathin suspended silicon nanowires Fan D, Sigg H, Spolenak R, Ekinci Y
    PHYSICAL REVIEW B 96, 115307 (2017).
    DOI: 10.1103/PhysRevB.96.115307
  • Towards a stand-alone high-throughput EUV actinic photomask inspection tool: RESCAN Rajendran R, Mochi I, Helfenstein P, Mohacsi I, Redford S, Mozzanica A, Schmitt B, Yoshitake S, Ekinci Y
    SPIE ADVANCED LITHOGRAPHY , (2017).
    DOI: 10.1117/12.2258379

2016

  • A bottom-up pattern collapse mitigation strategy for EUV lithography Panning Eric M, Goldberg Kenneth A, Kulmala Tero S, Vockenhuber M, Ekinci Y
    SPIE ADVANCED LITHOGRAPHY , (2016).
    DOI: 10.1117/12.2219735
  • Challenge toward breakage of RLS trade-off for EUV lithography by Photosensitized Chemically Amplified Resist (PSCAR) with flood exposure Panning Eric M, Goldberg Kenneth A, Nagahara S, Carcasi M, Nakagawa H, Buitrago E, Yildirim O, Shiraishi G, Terashita Y, Minekawa Y, Yoshihara K, Tomono M, Mizoguchi H, Estrella J, Nagai T, Naruoka T, Dei S, Hori M, Oshima A, Vockenhuber M, Ekinci Y, Meeuwissen M, Verspaget C, Hoefnagels R, Rispens G, Maas R, Nakashima H, Tagawa S
    SPIE ADVANCED LITHOGRAPHY , (2016).
    DOI: 10.1117/12.2219433
  • Comparative study of line roughness metrics of chemically amplified and inorganic resists for EUV Hohle Christoph K, Younkin Todd R, Fallica R, Buitrago E, Ekinci Y
    SPIE ADVANCED LITHOGRAPHY , (2016).
    DOI: 10.1117/12.2217766
  • Comparative study of line roughness metrics of chemically amplified and inorganic resists for extreme ultraviolet Fallica R, Buitrago E, Ekinci Y
    JOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS 15, 034003 (2016).
    DOI: 10.1117/1.JMM.15.3.034003
  • Comparative study of resists and lithographic tools using the Lumped Parameter Model Fallica R, Kirchner R, Ekinci Y, Mailly D
    Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena 34, 06K702 (2016).
    DOI: 10.1116/1.4967183
  • Dynamic absorption coefficients of CAR and non-CAR resists at EUV Panning Eric M, Goldberg Kenneth A, Fallica R, Stowers Jason K, Grenville A, Frommhold A, Robinson Alex PG, Ekinci Y
    SPIE ADVANCED LITHOGRAPHY , (2016).
    DOI: 10.1117/12.2219193
  • Dynamic absorption coefficients of chemically amplified resists and nonchemically amplified resists at extreme ultraviolet Fallica R, Stowers Jason K, Grenville A, Frommhold A, Robinson Alex PG, Ekinci Y
    JOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS 15, 033506 (2016).
    DOI: 10.1117/1.JMM.15.3.033506
  • Electron-Beam Lithographic Grafting of Functional Polymer Structures from Fluoropolymer Substrates Gajos K, Guzenko Vitaliy A, Dübner M, Haberko J, Budkowski A, Padeste C
    LANGMUIR 32, 10641 (2016).
    DOI: 10.1021/acs.langmuir.6b02808
  • From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute Lérondel G, Kawata S, Cho Y, Buitrago E, Fallica R, Fan D, Karim W, Vockenhuber M, van Bokhoven Jeroen A, Ekinci Y
    SPIE NANOSCIENCE + ENGINEERING , (2016).
    DOI: 10.1117/12.2238805
  • Nanolithography using Bessel Beams of Extreme Ultraviolet Wavelength Fan D, Wang L, Ekinci Y
    Scientific Reports 6, 31301 (2016).
    DOI: 10.1038/srep31301
  • Novel High Sensitivity EUV Photoresist for Sub-7 nm Node Nagai T, Nakagawa H, Naruoka T, Dei S, Tagawa S, Oshima A, Nagahara S, Shiraishi G, Yoshihara K, Terashita Y, Minekawa Y, Buitrago E, Ekinci Y, Yildirim O, Meeuwissen M, Hoefnagels R, Rispens G, Verspaget C, Maas R
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 29, 475 (2016).
    DOI: 10.2494/photopolymer.29.475
  • Novel high sensitivity EUV photoresist for sub-7nm node Hohle Christoph K, Younkin Todd R, Nagai T, Nakagawa H, Naruoka T, Tagawa S, Oshima A, Nagahara S, Shiraishi G, Yoshihara K, Terashita Y, Minekawa Y, Buitrago E, Ekinci Y, Yildirim O, Meeuwissen M, Hoefnagels R, Rispens G, Verspaget C, Maas R
    SPIE ADVANCED LITHOGRAPHY , (2016).
    DOI: 10.1117/12.2218936
  • Optimization and sensitivity enhancement of high-resolution molecular resist for EUV lithography Panning Eric M, Goldberg Kenneth A, Frommhold A, McClelland A, Roth J, Fallica Roberto A, Ekinci Y, Robinson Alex PG
    SPIE ADVANCED LITHOGRAPHY , (2016).
    DOI: 10.1117/12.2219221
  • Pattern collapse mitigation in inorganic resists via a polymer freeze technique Kulmala Tero S, Buitrago E, Vockenhuber M, Ekinci Y
    MICROELECTRONIC ENGINEERING 155, 39 (2016).
    DOI: 10.1016/j.mee.2016.02.024
  • Patterning of nanodot-arrays using EUV achromatic Talbot lithography at the Swiss Light Source and Shanghai Synchrotron Radiation Facility Fan D, Buitrago E, Yang S, Karim W, Wu Y, Tai R, Ekinci Y
    MICROELECTRONIC ENGINEERING 155, 55 (2016).
    DOI: 10.1016/j.mee.2016.02.026
  • Photolithography reaches 6 nm half-pitch using EUV light Panning Eric M, Goldberg Kenneth A, Fan D, Ekinci Y
    SPIE ADVANCED LITHOGRAPHY , (2016).
    DOI: 10.1117/12.2219737
  • Photolithography reaches 6 nm half-pitch using extreme ultraviolet light Fan D, Ekinci Y
    JOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS 15, 033505 (2016).
    DOI: 10.1117/1.JMM.15.3.033505
  • Scanning coherent diffractive imaging methods for actinic EUV mask metrology Helfenstein P, Mohacsi I, Rajendran R, Ekinci Y
    SPIE ADVANCED LITHOGRAPHY 9776, 97761F (2016).
    DOI: 10.1117/12.2219937
  • Scanning coherent diffractive imaging methods for actinic extreme ultraviolet mask metrology Helfenstein P, Mohacsi I, Rajeev R, Ekinci Y
    JOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS 15, 034006 (2016).
    DOI: 10.1117/1.JMM.15.3.034006
  • Scanning coherent scattering methods for actinic EUV mask inspection Ekinci Y, Helfenstein P, Rajeev R, Mochi I, Mohacsi I, Gobrecht J, Yoshitake S
    SPIE PHOTOMASK TECHNOLOGY 9985, 99851P (2016).
    DOI: 10.1117/12.2242961
  • Scanning scattering contrast microscopy for actinic EUV mask inspection Mohacsi I, Helfenstein P, Rajendran R, Ekinci Y
    SPIE ADVANCED LITHOGRAPHY 9778, 97781O (2016).
    DOI: 10.1117/12.2220027
  • Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography Panning Eric M, Goldberg Kenneth A, Buitrago E, Nagahara S, Yildirim O, Nakagawa H, Tagawa S, Meeuwissen M, Nagai T, Naruoka T, Verspaget C, Hoefnagels R, Rispens G, Shiraishi G, Terashita Y, Minekawa Y, Yoshihara K, Oshima A, Vockenhuber M, Ekinci Y
    SPIE ADVANCED LITHOGRAPHY 9776, 977612 (2016).
    DOI: 10.1117/12.2220026
  • Sensitivity enhancement of chemically amplified resists and performance study using extreme ultraviolet interference lithography Buitrago E, Nagahara S, Yildirim O, Nakagawa H, Tagawa S, Meeuwissen M, Nagai T, Naruoka T, Verspaget C, Hoefnagels R, Rispens G, Shiraishi G, Terashita Y, Minekawa Y, Yoshihara K, Oshima A, Vockenhuber M, Ekinci Y
    JOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS 15, 033502 (2016).
    DOI: 10.1117/1.JMM.15.3.033502
  • Size-dependent redox behavior of iron observed by in-situ single nanoparticle spectro-microscopy on well-defined model systems Karim W, Kleibert A, Hartfelder U, Balan A, Gobrecht J, van Bokhoven JA, Ekinci Y
    Scientific Reports 6, 18818 (2016).
    DOI: 10.1038/srep18818
  • SnOx high-efficiency EUV interference lithography gratings towards the ultimate resolution in photolithography Buitrago E, Fallica R, Fan D, Kulmala Tero S, Vockenhuber M, Ekinci Y
    MICROELECTRONIC ENGINEERING 155, 44 (2016).
    DOI: 10.1016/j.mee.2016.02.023

2015

  • Beyond EUV lithography: a comparative study of efficient photoresists' performance Mojarad Nassir, Gobrecht Jens, Ekinci Yasin
    Scientific Reports 5, 9235 (2015).
    DOI: 10.1038/srep09235
  • Enhancement of the intrinsic fluorescence of adenine using aluminum nanoparticle arrays Jha Shankar K, Mojarad N, Agio M, Löffler Jörg F, Ekinci Y
    OPTICS EXPRESS 23, 24719 (2015).
    DOI: 10.1364/OE.23.024719
  • Evaluation of EUV resist performance using interference lithography Buitrago E, Yildirim O, Verspaget C, Tsugama N, Hoefnagels R, Rispens G, Ekinci Y
    EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY VI 9422, 94221S (2015).
    DOI: 10.1117/12.2085803
  • Extending resolution limits of EUV resist materials Wood Obert R, Panning Eric M, Krysak M, Leeson M, Han E, Blackwell J, Harlson S
    SPIE ADVANCED LITHOGRAPHY , (2015).
    DOI: 10.1117/12.2086276
  • Fabrication of Thiol-Ene "Clickable" Copolymer-Brush Nanostructures on Polymeric Substrates via Extreme Ultraviolet Interference Lithography Duebner Matthias, Gevrek Tugce N, Sanyal Amitav, Spencer Nicholas D, Padeste Celestino
    ACS APPLIED MATERIALS & INTERFACES 7, 11337-11345 (2015).
    DOI: 10.1021/acsami.5b01804
  • Fabrication of ultrahigh resolution metal nanowires and nanodots through EUV interference lithography Huang J, Fan D, Ekinci Y, Padeste C
    MICROELECTRONIC ENGINEERING 141, 32 (2015).
    DOI: 10.1016/j.mee.2015.01.016
  • Glass-based geometry-induced electrostatic trapping devices for improved scattering contrast imaging of nano-objects Gerspach M, Mojarad N, Pfohl T, Ekinci Y
    MICROELECTRONIC ENGINEERING 145, 43 (2015).
    DOI: 10.1016/j.mee.2015.02.035
  • High-Sensitivity Molecular Organometallic Resist for EUV (MORE) Passarelli James, Murphy Michael, Del Re Ryan, Sortland Miriam, Dousharm Levi, Vockenhuber Michaela, Ekinci Yasin, Neisser Mark, Freedman Daniel A, Brainard Robert L
    ADVANCES IN PATTERNING MATERIALS AND PROCESSES XXXII 9425, 94250T (2015).
    DOI: 10.1117/12.2086599
  • High-resolution and large-area nanoparticle arrays using EUV interference lithography Karim Waiz, Tschupp Simon Andreas, Oezaslan Mehtap, Schmidt Thomas J, Gobrecht Jens, van Bokhoven Jeroen A, Ekinci Yasin
    NANOSCALE 7, 7386-7393 (2015).
    DOI: 10.1039/c5nr00565e
  • Interference lithography at EUV and soft X-ray wavelengths: Principles, methods, and applications Mojarad N, Gobrecht J, Ekinci Y
    MICROELECTRONIC ENGINEERING 143, 55 (2015).
  • Low-LER Tin Carboxylate Photoresists using EUV Del Re Ryan, Sortland Miriam, Passarelli James, Cardineau Brian, Ekinci Yasin, Vockenhuber Michaela, Neisser Mark, Freedman Daniel A, Brainard Robert L
    EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY VI 9422, 942221 (2015).
    DOI: 10.1117/12.2086597
  • Low-line edge roughness extreme ultraviolet photoresists of organotin carboxylates Del Re R, Passarelli J, Sortland M, Cardineau B, Ekinci Y, Buitrago E, Neisser M, Freedman Daniel A, Brainard Robert L
    JOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS 14, 043506 (2015).
    DOI: 10.1117/1.JMM.14.4.043506
  • Nickel electroplating for high-resolution nanostructures Hili K, Fan D, Guzenko VA, Ekinci Y
    MICROELECTRONIC ENGINEERING 141, 122 (2015).
  • Organometallic carboxylate resists for extreme ultraviolet with high sensitivity Passarelli J, Murphy M, Re R, Sortland M, Hotalen J, Dousharm L, Fallica R, Ekinci Y, Neisser M, Freedman Daniel A, Brainard Robert L
    JOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS 14, 043503 (2015).
    DOI: 10.1117/1.JMM.14.4.043503
  • Polymer Micro- and Nanografting Padeste C, Neuhaus S
    A volume in Micro and Nano Technologies, William Andrew Publishers Elsevier,, (2015).
    DOI: 10.1016/B978-0-323-35322-9.00006-1
  • Positive-Tone EUV Resists: Complexes of Platinum and Palladium Sortland Miriam, Del Re Ryan, Passarelli James, Hotalen Jodi, Vockenhuber Michaela, Ekinci Yasin, Neisser Mark, Freedman Daniel A, Brainard Robert L
    EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY VI 9422, 942227 (2015).
    DOI: 10.1117/12.2086598
  • Single-digit-resolution nanopatterning with extreme ultraviolet light for the 2.5 nm technology node and beyond Mojarad N, Hojeij M, Wang L, Gobrecht J, Ekinci Y
    NANOSCALE 7, 4031-4037 (2015).
    DOI: 10.1039/c4nr07420c
  • Toward 10 nm half-pitch in extreme ultraviolet lithography: results on resist screening and pattern collapse mitigation techniques Kulmala Tero S, Vockenhuber Michaela, Buitrago Elizabeth, Fallica Roberto, Ekinci Yasin
    EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY VI 9422, 942204 (2015).
    DOI: 10.1117/12.2085936
  • Toward 10 nm half-pitch in extreme ultraviolet lithography: results on resist screening and pattern collapse mitigation techniques Kulmala Tero S, Vockenhuber M, Buitrago E, Fallica R, Ekinci Y
    JOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS 14, 033507 (2015).
    DOI: 10.1117/1.JMM.14.3.033507
  • Towards 11 nm half-pitch Resolution for a Negative-tone Chemically Amplified Molecular Resist Platform for EUV Lithography Frommhold Andreas, McClelland Alexandra, Yang Dongxu, Palmer Richard E, Roth John, Ekinci Yasin, Rosamund Mark C, Robinson Alex P G
    ADVANCES IN PATTERNING MATERIALS AND PROCESSES XXXII 9425, 942504 (2015).
    DOI: 10.1117/12.2085672
  • Towards deep-UV surface-enhanced resonance Raman spectroscopy of explosives: ultrasensitive, real-time and reproducible detection of TNT Jha Shankar K, Ekinci Y, Agio M, Löffler Jörg F
    ANALYST 140, 5671 (2015).
    DOI: 10.1039/c4an01719f

2014

  • A novel concept for actinic EUV mask review tool using a scanning lensless imaging method at the Swiss Light Source Lee Sangsul, Guizar-Sicairos Manuel, Ekinci Yasin
    EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY V 9048, 904811 (2014).
    DOI: 10.1117/12.2046226
  • Anisotropy versus circular dichroism in second harmonic generation from fourfold symmetric arrays of G-shaped nanostructures Mamonov E A, Kolmychek I A, Vandendriessche S, Hojeij M, Ekinci Y, Valev V K, Verbiest T, Murzina T V
    PHYSICAL REVIEW B 89, 121113 (2014).
    DOI: 10.1103/PhysRevB.89.121113
  • Broadband interference lithography at extreme ultraviolet and soft x-ray wavelengths Mojarad N, Fan D, Gobrecht J, Ekinci Y
    OPTICS LETTERS 39, 2286-2289 (2014).
    DOI: 10.1364/OL.39.002286
  • EUV Resists Comprised of Main Group Organometallic Oligomeric Materials Passarelli James, Cardineau Brian, Del Re Ryan, Sortland Miriam, Vockenhuber Michaela, Ekinci Yasin, Sarma Chandra, Neisser Mark, Freedman Daniel A, Brainard Robert L
    ADVANCES IN PATTERNING MATERIALS AND PROCESSES XXXI 9051, 90512A (2014).
    DOI: 10.1117/12.2046537
  • EUV Resists based on Tin-Oxo Clusters Cardineau Brian, Del Re Ryan, Al-Mashat Hashim, Marnell Miles, Vockenhuber Michaela, Ekinci Yasin, Sarma Chandra, Neisser Mark, Freedman Daniel A, Brainard Robert L
    ADVANCES IN PATTERNING MATERIALS AND PROCESSES XXXI 9051, 90511B (2014).
    DOI: 10.1117/12.2046536
  • EUV resists towards 11 nm half-pitch Ekinci Yasin, Vockenhuber Michaela, Mojarad Nassir, Fan Daniel
    EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY V 9048, 904804 (2014).
    DOI: 10.1117/12.2046459
  • Electron Penetration Depths in EUV Photoresists Torok Justin, Srivats Bharath, Memon Shahid, Herbol Henry, Schad Jonathan, Das Sanjana, Ocola Leonidas, Denbeaux Greg, Brainard Robert L
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 27, 611-615 (2014).
    DOI: 10.2494/photopolymer.27.611
  • High-throughput fabrication of compact and flexible bilayer nanowire grid polarizers for deep-ultraviolet to infrared range Wang Li, Schift Helmut, Gobrecht Jens, Ekinci Yasin, Kristiansen Per Magnus, Solak Harun H, Jefimovs Konstantins
    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 32, 031206 (2014).
    DOI: 10.1116/1.4874318
  • Large-scale sub-100 nm compound plasmonic grating arrays to control the interaction between localized and propagating plasmons Farhang Arash, Siegfried Thomas, Ekinci Yasin, Sigg Hans, Martin Olivier J F
    JOURNAL OF NANOPHOTONICS 8, 083897 (2014).
    DOI: 10.1117/1.JNP.8.083897
  • Light-Responsive Polymer Surfaces via Postpolymerization Modification of Grafted Polymer-Brush Structures Duebner Matthias, Spencer Nicholas D, Padeste Celestino
    LANGMUIR 30, 14971-14981 (2014).
    DOI: 10.1021/la503388j
  • Metal Double Layers with Sub-10 nm Channels Siegfried Thomas, Wang Li, Ekinci Yasin, Martin Olivier J F, Sigg Hans
    ACS Nano 8, 3700-3706 (2014).
    DOI: 10.1021/nn500375z
  • Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography Wang L, Kirk E, Waeckerlin C, Schneider C W, Hojeij M, Gobrecht J, Ekinci Y
    NANOTECHNOLOGY 25, 235305 (2014).
    DOI: 10.1088/0957-4484/25/23/235305
  • Nonlinear Superchiral Meta-Surfaces: Tuning Chirality and Disentangling Non-Reciprocity at the Nanoscale Valev V K, Baumberg J J, De Clercq B, Braz N, Zheng X, Osley E J, Vandendriessche S, Hojeij M, Blejean C, Mertens J, Biris C G, Volskiy V, Ameloot M, Ekinci Y, Vandenbosch G A E, Warburton P A, Moshchalkov V V, Panoiu N C, Verbiest T
    ADVANCED MATERIALS 26, 4074-4081 (2014).
    DOI: 10.1002/adma.201401021
  • Optimization of Fullerene-based Negative tone Chemically Amplified Fullerene Resist for Extreme Ultraviolet Lithography Frommhold A, Yang D X, McClelland A, Xue X, Ekinci Y, Palmer R E, Robinson A P G
    ADVANCES IN PATTERNING MATERIALS AND PROCESSES XXXI 9051, 905119 (2014).
    DOI: 10.1117/12.2046268
  • Photolithographic properties of tin-oxo clusters using extreme ultraviolet light (13.5 nm) Cardineau Brian, Del Re Ryan, Marnell Miles, Al-Mashat Hashim, Vockenhuber Michaela, Ekinci Yasin, Sarma Chandra, Freedman Daniel A, Brainard Robert L
    MICROELECTRONIC ENGINEERING 127, 44-50 (2014).
    DOI: 10.1016/j.mee.2014.04.024
  • Pinhole diffraction holography for fabrication of high-resolution Fresnel Zone Plates Sarkar Sankha S, Solak Harun H, David Christian, van der Veen J Friso
    OPTICS EXPRESS 22, 1402-1412 (2014).
    DOI: 10.1364/OE.22.001402

2013

  • Bilayer wire-grid polarizers for DUV to IR fabricated using EUV interference and Nanoimprint Lithography Wang Li, Schift Helmut, Kristiansen Per Magnus, Jefimovs Konstantins, Solak Harun H, Gobrecht Jens, Ekinci Yasin
    2013 8TH ANNUAL IEEE INTERNATIONAL CONFERENCE ON NANO/MICRO ENGINEERED AND MOLECULAR SYSTEMS (IEEE NEMS 2013) , 1232-1235 (2013).
  • Controlling structural properties of positioned quantum dots Helfrich Mathieu, Terhalle Bernd, Ekinci Yasin, Schaadt Daniel M
    JOURNAL OF CRYSTAL GROWTH 371, 39-44 (2013).
    DOI: 10.1016/j.jcrysgro.2013.01.046
  • Direct extreme UV-lithographic conversion of metal xanthates into nanostructured metal sulfide layers for hybrid photovoltaics Rath Thomas, Padeste Celestino, Vockenhuber Michaela, Fradler Christopher, Edler Matthias, Reichmann Angelika, Letofsky-Papst Ilse, Hofer Ferdinand, Ekinci Yasin, Griesser Thomas
    JOURNAL OF MATERIALS CHEMISTRY A 1, 11135-11140 (2013).
    DOI: 10.1039/c3ta12592k
  • Engineering Metal Adhesion Layers That Do Not Deteriorate Plasmon Resonances Siegfried Thomas, Ekinci Yasin, Martin Olivier J F, Sigg Hans
    ACS Nano 7, 2751-2757 (2013).
    DOI: 10.1021/nn4002006
  • Evaluation of EUV resist performance with interference lithography towards 11 nm half-pitch and beyond Ekinci Y, Vockenhuber M, Hojeij M, Wang L, Mojarad N
    EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY IV 8679, UNSP 867910 (2013).
    DOI: 10.1117/12.2011533
  • Facile fabrication of high-resolution extreme ultraviolet interference lithography grating masks using footing strategy during electron beam writing Wang Li, Fan Daniel, Guzenko Vitaliy A, Ekinci Yasin
    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 31, 06F602 (2013).
    DOI: 10.1116/1.4822016
  • Gap Plasmons and Near-Field Enhancement in Closely Packed Sub-10 nm Gap Resonators Siegfried Thomas, Ekinci Yasin, Martin Olivier J F, Sigg Hans
    NANO LETTERS 13, 5449-5453 (2013).
    DOI: 10.1021/nl403030g
  • Magnetic Hot Spots in Closely Spaced Thick Gold Nanorings Lorente-Crespo Maria, Wang Li, Ortuno Ruben, Garcia-Meca Carlos, Ekinci Yasin, Martinez Alejandro
    NANO LETTERS 13, 2654-2661 (2013).
    DOI: 10.1021/nl400798s
  • Patterning at 6.5 nm Wavelength Using Interference Lithography Mojarad N, Vockenhuber M, Wang L, Terhalle B, Ekinci Y
    EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY IV 8679, UNSP 867924 (2013).
    DOI: 10.1117/12.2011556
  • Performance of negative tone chemically amplified fullerene resists in extreme ultraviolet lithography Frommhold Andreas, Yang Dongxu, McClelland Alexandra, Xue Xiang, Ekinci Yasin, Palmer Richard E, Robinson Alex P G
    JOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS 12, 033010 (2013).
    DOI: 10.1117/1.JMM.12.3.033010
  • Rendering dark modes bright by using asymmetric split ring resonators Jeyaram Y, Verellen N, Zheng X, Silhanek A V, Hojeij M, Terhalle B, Ekinci Y, Valev V K, Vandenbosch G A E, Moshchalkov V V
    OPTICS EXPRESS 21, 15464-15474 (2013).
    DOI: 10.1364/OE.21.015464
  • Reusable plasmonic substrates fabricated by interference lithography: a platform for systematic sensing studies Siegfried Thomas, Kind Martin, Terfort Andreas, Martin Olivier J F, Zharnikov Michael, Ballav Nirmalya, Sigg Hans
    JOURNAL OF RAMAN SPECTROSCOPY 44, 170-175 (2013).
    DOI: 10.1002/jrs.4163
  • Spin-on carbon based on fullerene derivatives as hardmask materials for high-aspect-ratio etching Frommhold A, Palmer RE, Robinson APG
    JOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS 12, 033003 (2013).
    DOI: 10.1117/1.JMM.12.3.033003

2012

  • Chemically amplified fullerene resists, spin-on fullerene hardmasks and high aspect ratio etching Frommhold A, Manyam J, Manickam M, Tarte E, Preece JA, Palmer RE, Robinson APG
    PROCEEDINGS OF THE IEEE 2012 12TH IEEE CONF, (2012).
  • Deep-UV Surface-Enhanced Resonance Raman Scattering of Adenine on Aluminum Nanoparticle Arrays Jha Shankar K, Ahmed Zeeshan, Agio Mario, Ekinci Yasin, Loeffler Joerg F
    JOURNAL OF THE AMERICAN CHEMICAL SOCIETY 134, 1966-1969 (2012).
    DOI: 10.1021/ja210446w
  • Distributing the Optical Near-Field for Efficient Field-Enhancements in Nanostructures Valev V K, De Clercq B, Biris C G, Zheng X, Vandendriessche S, Hojeij M, Denkova D, Jeyaram Y, Panoiu N C, Ekinci Y, Silhanek A V, Volskiy V, Vandenbosch G A E, Ameloot M, Moshchalkov V V, Verbiest T
    ADVANCED MATERIALS 24, OP208-OP215 (2012).
    DOI: 10.1002/adma.201201151
  • Element-Specific Hysteresis Loop Measurements on Individual 35 nm Islands with Scanning Transmission X-Ray Microscopy Luo Feng, Eimueller Thomas, Amaladass Edward, Lee Ming Sang, Heyderman Laura J, Solak Harun H, Tyliszczak Tolek
    JOURNAL OF NANOSCIENCE AND NANOTECHNOLOGY 12, 2484-2488 (2012).
    DOI: 10.1166/jnn.2012.5778
  • Evaluation of resist performance with EUV interference lithography for sub-22 nm patterning Ekinci Y, Vockenhuber M, Terhalle B, Hojeij M, Wang L, R Younkin T
    PROCEEDINGS OF THE SPIE - THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING 8322, 83220W (2012).
  • Fabrication of high-resolution large-area patterns using EUV interference lithography in a scan-exposure mode Wang L, Solak H H, Ekinci Y
    NANOTECHNOLOGY 23, 305303 (2012).
    DOI: 10.1088/0957-4484/23/30/305303
  • Fabrication of quasiperiodic nanostructures with EUV interference lithography Langner A, Paeivaenranta B, Terhalle B, Ekinci Y
    NANOTECHNOLOGY 23, 105303 (2012).
    DOI: 10.1088/0957-4484/23/10/105303
  • Fano resonant plasmonic systems: Functioning principles and applications Gallinet B, Lovera A, Siegfried T, Sigg H, Martin OJF
    FIFTH INTERNATIONAL WORKSHOP ON THEORETICAL AND COMPUTATIONAL NANO-PHOTONICS (TACONA-PHOTONICS 2012) 1475, 18-20 (2012).
    DOI: 10.1063/1.4750081
  • Generation of high-resolution kagome lattice structures using extreme ultraviolet interference lithography Wang Li, Terhalle Bernd, Guzenko Vitaliy A, Farhan Alan, Hojeij Mohamad, Ekinci Yasin
    APPLIED PHYSICS LETTERS 101, 093104 (2012).
    DOI: 10.1063/1.4748758
  • High performance Al bi-layer wire-grid polarizer for deep-ultraviolet to infrared: modeling and design Wang L, H Solak H, Ekinci Y
    PROCEEDINGS OF THE SPIE - THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING 8424, 842429 (2012).
  • High-resolution nanopatterning by achromatic spatial frequency multiplication with electroplated grating structures Wang L, Terhalle B, Hojeij M, Guzenko VA, Ekinci Y
    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 30, 031603 (2012).
    DOI: 10.1116/1.3697753
  • Plasmonic Radiance: Probing Structure at the Angstrom Scale with Visible Light Gallinet B, Siegfried T, Sigg H, Nordlander P, Martin OJF
    NANO LETTERS 13, 497-503 (2012).
    DOI: 10.1021/nl303896d
  • Positive tone chemically amplified fullerene resist Manyam J, Frommhold A, Yang DX, Mcclelland A, Manickam M, Preece JA, Palmer RE, Robinson APG
    ADVANCES IN RESIST MATERIALS AND PROCESSING TECHNOLOGY XXIX 8325, 83251U (2012).
    DOI: 10.1117/12.916472
  • Size-Dependent Shape Evolution of Patterned Polymer Films Studied in Situ by Phase-Retrieval-Based Small-Angle X-ray Scattering Nygard Kim, Delcambre Sean P, Satapathy Dillip K, Bunk Oliver, Nealey Paul F, van der Veen J Friso
    MACROMOLECULES 45, 5798-5805 (2012).
    DOI: 10.1021/ma300662s
  • Strong magnetism by closely-spaced gold nanohoops Lorente-Crespo M, Ortuno R, Alepuz-Benaches I, Garcia-Meca C, Wang L, Ekinci Y, Martinez A
    PROCEEDINGS OF THE SPIE - THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING 8423, 84231V (2012).

2011

  • Advanced holographic methods in extreme ultraviolet interference lithography Terhalle B, Langner A, Paeivaeranta B, Ekinci Y
    PROCEEDINGS OF THE SPIE - THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING 8102, 81020V (2011).
  • Controlled in situ nanoscale enhancement of gold nanowire arrays with plasmonics MacKenzie R, Fraschina C, Sannomiya T, Voros J
    NANOTECHNOLOGY 22, 055203 (2011).
    DOI: 10.1088/0957-4484/22/5/055203
  • Fabrication of sub-10 nm gap arrays over large areas for plasmonic sensors Siegfried T, Ekinci Y, H Solak H, J F Martin O, Sigg H
    APPLIED PHYSICS LETTERS 99, 263302 (2011).
    DOI: 10.1063/1.3672045
  • Generation of extreme ultraviolet vortex beams using computer generated holograms Terhalle B, Langner A, Paivanranta B, Guzenko VA, David C, Ekinci Y
    OPTICS LETTERS 36, 4143 (2011).
    DOI: 10.1364/OL.36.004143
  • High Aspect Ratio Plasmonic Nanostructures for Sensing Applications Paivanranta B, Merbold H, Giannini R, Buchi L, Gorelick S, David C, Loffler JF, Feurer T, Ekinci Y
    ACS Nano 5, 6374 (2011).
    DOI: 10.1021/nn201529x
  • High-resolution Fresnel zone plate fabrication by achromatic spatial frequency multiplication with extreme ultraviolet radiation Sarkar SS, Solak HH, Saidani M, David C, van der Veen JF
    OPTICS LETTERS 36, 1860 (2011).
    DOI: 10.1364/OL.36.001860
  • Nanofabrication of Broad-Band Antireflective Surfaces Using Self-Assembly of Block Copolymers Paivanranta B, Sahoo PK, Tocce E, Auzelyte V, Ekinci Y, Solak HH, Liu CC, Stuen KO, Nealey PF, David C
    ACS Nano 5, 1860 (2011).
    DOI: 10.1021/nn103361d
  • Sub-10 nm patterning using EUV interference lithography Paivanranta B, Langner A, Kirk E, David C, Ekinci Y
    NANOTECHNOLOGY 22, 375302 (2011).
    DOI: 10.1088/0957-4484/22/37/375302
  • Thermo mechanical properties and plastic deformation of gold nanolines and gold thin films Olliges S, Frank S, Gruber PA, Auzelyte V, Solak H, Spolenak R
    MATERIALS SCIENCE AND ENGINEERING A-STRUCTURAL MATERIALS PROPERTIES MICROSTRUCTURE AND PROCESSING 528, 6203 (2011).
    DOI: 10.1016/j.msea.2011.04.041

2010

  • Direct formation of ZnO nanostructures by chemical solution deposition and EUV exposure Auzelyte V, Sigg H, Schmitt B, Solak HH
    NANOTECHNOLOGY 21, 215302 (2010).
    DOI: 10.1088/0957-4484/21/21/215302
  • Extreme Ultraviolet Interference Lithography for Generation of Platinum Nanoparticles on Glassy Carbon Savouchkina A, Foelske-Schmitz A, Koetz R, Wokaun A, Scherer GG, Padeste C, Ziegler J, Auzelyte V, Solak HH
    ECS TRANSACTIONS 25, 175 (2010).
  • Fabrication of Fresnel zone plates with 25 nm zone width using extreme ultraviolet holography Sarkar SS, Solak HH, Raabe J, David C, van der Veen JF
    MICROELECTRONIC ENGINEERING 87, 854 (2010).
    DOI: 10.1016/j.mee.2009.12.053
  • Fabrication of metal patterns on free-standing graphenoid nanomembranes Beyer A, Turchanin A, Nottbohm CT, Mellech N, Schnietz M, Goelzhaeuser A
    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 28, C6D5 (2010).
  • Functionalization of fluoropolymer surfaces with nanopatterned polyelectrolyte brushes Neuhaus S, Padeste C, Solak HH, Spencer ND
    POLYMER 51, 4037 (2010).
    DOI: 10.1016/j.polymer.2010.07.002
  • Magnetic metamaterials in the blue range using aluminum nanostructures Jeyaram Y, Jha SK, Agio M, Loffler JF, Ekinci Y
    OPTICS LETTERS 35, 1656 (2010).
    DOI: 10.1364/OL.35.001656
  • Measuring resist-induced contrast loss using EUV interference lithography Langner A, Solak HH, Gronheid R, Setten EV, Auzelyte V, Ekinci Y, Schenau KVI, Feenstra K
    PROCEEDINGS OF THE SPIE - THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING 7636, 76362X (2010).
  • Mechanism and dynamics of block copolymer directed assembly with density multiplication on chemically patterned surfaces Liu G, Delcambre SP, Stuen KO, Craig GSW, de Pablo JJ, Nealey PF, Nygard K, Satapathy DK, Bunk O, Solak HH
    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 28, C6B13 (2010).
  • Optical Sensing with Simultaneous Electrochemical Control in Metal Nanowire Arrays MacKenzie R, Fraschina C, Sannomiya T, Auzelyte V, Voros J
    SENSORS 10, 9808 (2010).
    DOI: 10.3390/s101109808
  • Patterning of Self-Assembled Pentacene Nanolayers by Extreme Ultraviolet-Induced Three-Dimensional Polymerization Jee HG, Hwang HN, Han JH, Lim J, Shin HJ, Kim YD, Solak HH, Hwang CC
    ACS Nano 4, 4997 (2010).
    DOI: 10.1021/nn1005705

2009

  • 3D SiGe QUANTUM DOT CRYSTALS: STRUCTURAL CHARACTERIZATION AND ELECTRONIC COUPLING Fromherz T, Stangl J, Lechner RT, Wintersberger E, Bauer G, Holy V, Dais C, Muller E, Sigg H, Solak HH, Grutzmacher D
    INTERNATIONAL JOURNAL OF MODERN PHYSICS B 23, 2836 (2009).
    DOI: 10.1142/S0217979209062414
  • Chemically functionalized carbon nanosieves with 1 nm thickness Schnietz M, Turchanin A, Nottbohm CT, Beyer A, Solak HH, Hinze P, Weimann T, Goelzhaeuser A
    SMALL 5, 2651-2655 (2009).
  • Evolution and stability of ordered SiGe islands grown on patterned Si(100) substrates Dais C, Mussler G, Sigg H, Mueller E, Solak HH, Grutzmacher D
    JOURNAL OF APPLIED PHYSICS 105, 122405 (2009).
    DOI: 10.1063/1.3117230
  • Extreme ultraviolet interference lithography at the Paul Scherrer Institut Auzelyte V, Dais C, Farquet P, Grutzmacher D, Heyderman LJ, Luo F, Olliges S, Padeste C, Sahoo PK, Thomson T, Turchanin A, David C, Solak HH
    JOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS 8, 021204 (2009).
    DOI: 10.1117/1.3116559
  • Fresnel zone plates made by holography in the extreme ultraviolet re- gion Sarkar SS, Sahoo PK, Solak HH, David C, van der Veen JF
    JOURNAL OF PHYSICS: CONFERENCE SERIES 186, 012017 (2009).
  • Surface plasmon resonance in near-field coupled gold cylinder arrays fabricated by EUV-interference lithography and hot embossing Sahoo PK, Vogelsang K, Schift H, Solak HH
    APPLIED SURFACE SCIENCE 256, 431 (2009).
    DOI: 10.1016/j.apsusc.2009.06.079
  • Thermal development of a calixarene resist Auzelyte V, Langner A, Solak HH
    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 27, 2990 (2009).
    DOI: 10.1116/1.3237137
  • Thermomechanical properties of gold nanowires supported on a flexible substrate Olliges S, Frank S, Gruber PA, Auzelyte V, Kunze K, Solak HH, Spolenak R
    SCRIPTA MATERIALIA 60, 273 (2009).
    DOI: 10.1016/j.scriptamat.2008.08.046
  • Transparent hybrid polymer stamp copies with sub-50-nm resolution for thermal and UV-nanoimprint lithography Schift Helmut, Spreu Christian, Saidani Menouer, Bednarzik Martin, Gobrecht Jens, Klukowska Anna, Reuther Freimut, Gruetzner Gabi, Solak Harun H
    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 27, 2846-2849 (2009).
    DOI: 10.1116/1.3250207
  • X-ray diffraction investigation of a three-dimensional Si/SiGe quantum dot crystal Holy V, Stangl J, Fromherz T, Lechner RT, Wintersberger E, Bauer G, Dais C, Muller E, Grutzmacher D
    PHYSICAL REVIEW B 79, 035324 (2009).
    DOI: 10.1103/PhysRevB.79.035324

2008

  • Electric and magnetic resonances in arrays of coupled gold nanoparticle in-tandem pairs Ekinci Y, Christ A, Agio M, Martin OJF, Solak HH, Loffler JF
    OPTICS EXPRESS 16, 13287 (2008).
    DOI: 10.1364/OE.16.013287
  • Evaluation of EUV resist materials for use at the 32 nm half-pitch node Wallow T, Higgins C, Brainard R, Petrillo K, Montgomery W, Koay C, Denbeaux G, Wood O, Wei Y
    PROCEEDINGS OF THE SPIE - THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING 6921, 69211F/1 (2008).
  • Extreme UV radiation grafting of glycidyl methacrylate nanostructures onto fluoropolymer foils by RAFT-mediated polymerization Farquet P, Padeste C, Solak HH, Gursel SA, Scherer GG, Wokaun A
    MACROMOLECULES 41, 6309 (2008).
    DOI: 10.1021/ma800202b
  • Fabrication of Fresnel zone plates by holography in the extreme ultraviolet region Sarkar SS, Sahoo PK, Solak HH, David C, Van der Veen JF
    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 26, 2160 (2008).
    DOI: 10.1116/1.2987960
  • Impact of template variations on shape and arrangement of Si/Ge quantum dot arrays Dais C, Solak HH, Muller E, Grutzmacher D
    APPLIED PHYSICS LETTERS 92, 143102 (2008).
    DOI: 10.1063/1.2907196
  • In situ characterization of block copolymer ordering on chemically nanopatterned surfaces by time-resolved small angle x-ray scattering Stuen KO, Liu C, Welander AM, Liu G, de Pablo JJ, Nealey PF, Satapathy DK, Nygard K, Bunk O, Solak HH, van der Veen JF
    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 26, 2504 (2008).
    DOI: 10.1116/1.2991977
  • In situ observation of cracks in gold nano-interconnects on flexible substrates Olliges S, Gruber PA, Orso S, Auzelyte V, Ekinci Y, Solak HH, Spolenak R
    SCRIPTA MATERIALIA 58, 175 (2008).
    DOI: 10.1016/j.scriptamat.2007.09.037
  • Large area arrays of metal nanowires Auzelyte V, Solak HH, Ekinci Y, MacKenzie R, Voros J, Olliges S, Spolenak R
    MICROELECTRONIC ENGINEERING 85, 1131 (2008).
    DOI: 10.1016/j.mee.2008.01.064
  • Molecular self-assembly, chemical lithography, and biochemical tweezers: A path for the fabrication of functional nanometer-scale protein arrays Turchanin A, Tinazli A, El-Desawy M, Grossann H, Schnietz M, Solak HH, Tampe R, Golzhauser A
    ADVANCED MATERIALS 20, 471 (2008).
    DOI: 10.1002/adma.200702189
  • Nanoscale perpendicular magnetic island arrays fabricated by extreme ultraviolet interference lithography Luo F, Heyderman LJ, Solak HH, Thomson T, Best ME
    APPLIED PHYSICS LETTERS 92, 102505 (2008).
    DOI: 10.1063/1.2841821
  • Nanostructured substrates for high density protein arrays Zoller FA, Padeste C, Ekinci Y, Solak HH, Engel A
    MICROELECTRONIC ENGINEERING 85, 1370 (2008).
    DOI: 10.1016/j.mee.2007.12.061
  • Photoluminescence studies of SiGe quantum dot arrays prepared by templated self-assembly Dais C, Mussler G, Sigg H, Fromherz T, Auzelyte V, Solak H, Gruetzmacher D
    EPL (EUROPHYSICS LETTERS) 84, 67017 (2008).
  • Plasmon resonances of aluminum nanoparticles and nanorods Ekinci Y, Solak HH, Loffler JF
    JOURNAL OF APPLIED PHYSICS 104, 083107 (2008).
    DOI: 10.1063/1.2999370
  • Probing multilayer stack reflectors by low coherence interferometry in extreme ultraviolet De rossi S, Joyeux D, Chavel P, De oliveira N, Richard M, Constancias C, Robic JY
    APPLIED OPTICS 47(12), 2109 (2008).
  • Rapid directed assembly of block copolymer films at elevated temperatures Welander AM, Kang HM, Stuen KO, Solak HH, Muller M, de Pablo JJ, Nealey PF
    MACROMOLECULES 41, 2759 (2008).
    DOI: 10.1021/ma800056s
  • Using K-LUP for understanding trends in EUV resist performance Gronheid R, Van Roey F, Van Steenwinckel D
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 21, 429 (2008).
    DOI: 10.2494/photopolymer.21.429

2007

  • 20 nm Line/space patterns in HSQ fabricated by EUV interference lithography Ekinci Y, Solak HH, Padeste C, Gobrecht J, Stoykovich MP, Nealey PF
    MICROELECTRONIC ENGINEERING 84, 700 (2007).
    DOI: 10.1016/j.mee.2007.01.213
  • Bit-array patterns with density over 1 Tbit/in.(2) fabricated by extreme ultraviolet interference lithography Solak HH
    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 25, 2123 (2007).
    DOI: 10.1116/1.2799974
  • Controlling the Fano interference in a plasmonic lattice Christ A, Ekinci Y, Solak HH, Gippius NA, Tikhodeev SG, Martin OJF
    PHYSICAL REVIEW B 76, 201405 (2007).
    DOI: 10.1103/PhysRevB.76.201405
  • Dimensions and shapes of block copolymer domains assembled on lithographically defined chemically patterned substrates Edwards EW, Muller M, Stoykovich MP, Solak HH, de Pablo JJ, Nealey PF
    MACROMOLECULES 40, 90 (2007).
    DOI: 10.1021/ma0607564
  • EUV lithographic radiation grafting of thermo-responsive hydrogel nanostructures Farquet P, Padeste C, Solak HH, Gursel SA, Scherer GG, Wokaun A
    NUCLEAR INSTRUMENTS & METHODS IN PHYSICS RESEARCH SECTION B-BEAM INTERACTIONS WITH MATERIALS AND ATOMS 265, 187 (2007).
    DOI: 10.1016/j.nimb.2007.08.070
  • Extraordinary optical transmission in the ultraviolet region through aluminum hole arrays Ekinci Y, Solak HH, David C
    OPTICS LETTERS 32, 172 (2007).
    DOI: 10.1364/OL.32.000172
  • Fabrication of molecular nanotemplates in self-assembled monolayers by extreme-ultraviolet-induced chemical lithography Turchanin A, Schnietz M, El-Desawy M, Solak HH, David C, Golzhauser A
    SMALL 3, 2114 (2007).
    DOI: 10.1002/smll.200700516
  • Ge quantum dot molecules and crystals: Preparation and properties Dais C, Solak HH, Ekinci Y, Muller E, Sigg H, Grutzmacher D
    SURFACE SCIENCE 601, 2787 (2007).
    DOI: 10.1016/j.susc.2006.12.053
  • Influence of the solvent viscosity on surface graft-polymerization reactions Farquet P, Kunze A, Padeste C, Solak HH, Guersel SA, Scherer GG, Wokaun A
    POLYMER 48, 4936 (2007).
    DOI: 10.1016/j.polymer.2007.06.052
  • Nanopatterning of gold colloids for label-free biosensing Stadler B, Solak HH, Frerker S, Bonroy K, Frederix F, Voros J, Grandin HM
    NANOTECHNOLOGY 18, 155306 (2007).
    DOI: 10.1088/0957-4484/18/15/155306
  • Novel complex nanostructure from directed assembly of block copolymers on incommensurate surface patterns Kim SO, Kim BH, Meng D, Shin DO, Koo CM, Solak HH, Wang Q
    ADVANCED MATERIALS 19, 3271 (2007).
    DOI: 10.1002/adma.200700957
  • Pathway to sub-30nm resolution in EUV lithography Thackeray JW, Nassar RA, Spear-Alfonso K, Brainard R, Goldfarb D, Wallow T, Wei YY, Montgomery W, Petrillo K, Wood O, Koay CS, Mackey J, Naulleau P, Pierson B, Solak HH
    JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 20, 411 (2007).
  • Photon-beam lithography reaches 12.5 nm half-pitch resolution Solak HH, Ekinci Y, Kaser P, Park S
    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 25, 91 (2007).
    DOI: 10.1116/1.2401612
  • Square arrays of vertical cylinders of PS-b-PMMA on chemically nanopatterned surfaces Park SM, Craig GSW, La YH, Solak HH, Nealey PF
    MACROMOLECULES 40, 5084 (2007).
    DOI: 10.1021/ma0702344
  • Templated self-organization of SiGe quantum structures for nanoelectronics Gruzinacher D, Dais C, Zhang L, Muller E, Solak HH
    MATERIALS SCIENCE & ENGINEERING C-BIOMIMETIC AND SUPRAMOLECULAR SYSTEMS 27, 947 (2007).
    DOI: 10.1016/j.msec.2006.07.029
  • Tensile strength of gold nanointerconnects without the influence of strain gradients Olliges S, Gruber PA, Auzelyte V, Ekinci Y, Solak HH, Spolenak R
    ACTA MATERIALIA 55, 5201 (2007).
    DOI: 10.1016/j.actamat.2007.05.039
  • Three-dimensional Si/Ge quantum dot crystals Grutzmacher D, Fromherz T, Dais C, Stangl J, Muller E, Ekinci Y, Solak HH, Sigg H, Lechner RT, Wintersberger E, Birner S, Holy V, Bauer G
    NANO LETTERS 7, 3150 (2007).
    DOI: 10.1021/nl0717199
  • Two-dimensional arrays of self-organized Ge islands obtained by chemical vapor deposition on pre-patterned silicon substrates Stoica T, Shushunova V, Dais C, Solak H, Grutzmacher D
    NANOTECHNOLOGY 18, 455307 (2007).
    DOI: 10.1088/0957-4484/18/45/455307